Simulating the Example Design - 4.4 English

UltraScale Devices Gen3 Integrated Block for PCI Express LogiCORE IP Product Guide (PG156)

Document ID
PG156
Release Date
2023-11-24
Version
4.4 English

The example design provides a quick way to simulate and observe the behavior of the core for PCI Express Endpoint and Root port Example design projects generated using the Vivado Design Suite.

The currently supported simulators are:

Vivado simulator (default)

Mentor Graphics QuestaSim

Cadence Incisive Enterprise Simulator (IES)

Synopsys Verilog Compiler Simulator (VCS)

The simulator uses the example design test bench and test cases provided along with the example design for both the design configurations.

For any project (PCI Express core) generated out of the box, the simulations using the default Vivado simulator can be run as follows:

1. In the Sources Window, right-click the example project file ( .xci ), and select Open IP Example Design .

The example project is created.

2. In the Flow Navigator (left-hand pane), under Simulation, right-click Run Simulation and select Run Behavioral Simulation .

IMPORTANT: The post-synthesis and post-implementation simulation options are not supported for the PCI Express block.

After the Run Behavioral Simulation Option is running, you can observe the compilation and elaboration phase through the activity in the Tcl Console , and in the Simulation tab of the Log Window.

3. In Tcl Console, type the run all command and press Enter . This runs the complete simulation as per the test case provided in example design test bench.

After the simulation is complete, the result can be viewed in the Tcl Console .