IO_BUFFER_TYPE Verilog Example - 2023.2 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2023-11-01
Version
2023.2 English
(* io_buffer_type = "none" *) input in1;