The following table shows the supported Tcl commands for Vivado timing constraints. The commands are linked to more information to the full description in the Vivado Design Suite Tcl Command Reference Guide (UG835).
Command Type | Commands | |||
---|---|---|---|---|
Timing Constraints | create_clock | create_generated_clock | set_false_path | set_input_delay |
set_output_delay | set_max_delay | set_multicycle_path | get_cells | |
set_clock_latency | set_clock_groups | set_disable_timing | get_ports | |
Object Access | all_clocks | all_inputs | all_outputs | |
get_clocks | get_nets | get_pins |
For details on these commands, see the following documents: