RAM HDL Coding Techniques - 2022.1 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2022-06-06
Version
2022.1 English

Vivado synthesis can interpret various RAM coding styles, and maps them into distributed RAMs or block RAMs. This action does the following:

Makes it unnecessary to manually instantiate RAM primitives

Saves time

Keeps HDL source code portable and scalable

Download the coding example files from Coding Examples.