Introduction - 2022.1 English

Vivado Design Suite User Guide: Synthesis (UG901)

Document ID
UG901
Release Date
2022-06-06
Version
2022.1 English

Vivado® synthesis supports the subset of SystemVerilog RTL that can be synthesized. The following sections describe those data types.