Add the Constraint File - 2023.2 English

Vitis Tutorials: Vitis Platform Creation (XD101)

Document ID
XD101
Release Date
2023-12-26
Version
2023.2 English

As customer board has no ready-made board file, which contains the pin placement data. Pin location file in XDC file format, which contains the DDR, clocks, and resets-related pin placement, is required for the hardware design. In this case, you have two external ports related to DDR on your design which should be declared about the PIN connections. Therefore, a ddr.xdc file for DDR pin placement is prepared in advance to illustrate how to prepare the XDC file. Use the following steps to add the XDC format constraint file.

  • Copy the DDR.xdc file to the WorkSpace directory

    • Click the source under the BLOCK DESIGN dialog, select the conttraints by clicking your right button on your mouse, selct Add source.

    • In Add source set dialog, click Next.

    • Click Add files, then browse to WorkSpace directory and select ddr.xdc file

    • Keep the option of copy the constraint files into project checked.

      STEP0

    • Click Finish

Now you have completed the hardware design. In the coming steps, you will review the platform-related property settings.