get_dataflow_paths - 2023.2 English

Vivado Design Suite Tcl Command Reference Guide (UG835)

Document ID
UG835
Release Date
2023-10-18
Version
2023.2 English

Get a list of dataflow paths

Syntax

get_dataflow_paths [‑filter <arg>] [‑start_ref_name <arg>]
    [‑end_ref_name <arg>] [‑max_depth <arg>] [‑quiet] [‑verbose]

Returns

List of dataflow paths.

Usage

Name Description
[-filter] Filter list with given expression.
[-start_ref_name] Searches for paths starting from this given start ref name.
[-end_ref_name] Searches for paths ending at this given end ref name.
[-max_depth] Maximum number of instances that can be skipped to find the next required end point. Default: 10
[-quiet] Ignore command errors
[-verbose] Suspend message limits during command execution

Categories

Netlist, Project

Description

This returns a list of dataflow path objects which is useful to generate a group of paths to analyze within the Dataflow Design view. A dataflow design is an optimized netlist that has low level objects trimmed out and focuses on sparse netlist objects and wide buses. A dataflow path object is a path extracted from a dataflow design.

This command works when a dataflow design is open and the Dataflow view is selected. The command iterates through dataflow primitives until max_depth is reached and return all paths meeting the criteria. The following are the dataflow primitives.

  • Memories
  • Multipliers, Adders, or DSPs
  • Other IP blocks such as Transceivers, PCIe, and DCMAC

Arguments

-max_depth <arg> - (Optional) Specifies the number of netlist objects to trace through before reaching the endpoint. Default value is 10.

-start_ref_name <arg> - (Optional) Provides the REF_NAME of the intended startpoint. The REF_NAME must exist in the dataflow design.

-end_ref_name <arg> - (Optional) Provides the REF_NAME of intended endpoint. The REF_NAME must exist in the dataflow design.

-filter <args> - (Optional) Filter the results list with the specified expression.

-quiet - (Optional) Execute the command quietly, returning no messages from the command. The command also returns TCL_OK regardless of any errors encountered during execution.
Note: Any errors encountered on the command-line, while launching the command, will be returned. Only errors occurring inside the command will be trapped.
-verbose - (Optional) Temporarily override any message limits and return all messages from this command.
Note: Message limits can be defined with the set_msg_config command.

Examples

The following example generates the dataflow path objects between RTL_RAM primitives and load them into a show_objecs window.
show_objects -name dfv_1 [get_dataflow_paths -start_ref_name RTL_RAM -end_ref_name RTL_RAM]
The following example generates all the REF_NAME that are available in the design. This example also generates a different list when the dataflow design is selected. When a different view is selected, only the dataflow view is applicable.
lsort -unique [get_property REF_NAME [get_cells -hier -filter {IS_PRIMITIVE}]]

See Also