次の表に、Vivado タイミング制約にサポートされる Tcl コマンドを示します。各コマンドのリンクをクリックすると、 『Vivado Design Suite Tcl コマンド リファレンス ガイド』 (UG835) のコマンドを説明するページが開きます。
コマンドのタイプ | コマンド | |||
---|---|---|---|---|
タイミング制約 | create_clock | create_generated_clock | set_false_path | set_input_delay |
set_output_delay | set_max_delay | set_multicycle_path | get_cells | |
set_clock_latency | set_clock_groups | set_disable_timing | get_ports | |
オブジェクト アクセス | all_clocks | all_inputs | all_outputs | |
get_clocks | get_nets | get_pins |
これらのコマンドの詳細は、次の資料を参照してください。