Abstract Shell for DFX - 2023.2 English

Vivado Design Suite Tutorial: Dynamic Function eXchange (UG947)

Document ID
UG947
Release Date
2023-11-29
Version
2023.2 English

Abstract Shells have two fundamental advantages over standard full-static checkpoints:

  • Compile time for new Reconfigurable Modules is reduced for child runs, as Vivado implementation tools do not need to load or consider much of the information contained in the static part of the design.
  • Static design information, including licensed IP, is hidden from view in an Abstract Shell, enhancing design security and reducing IP license requirements. This benefit is currently only viable for AMD UltraScale+™ non-project flow users.

Project mode for Abstract Shells leverages the first benefit but not the second. The entire design is always resident in a DFX project so there is no mechanism to hide any details about the static part of the design.

For more information on the Abstract Shell flow, please see the Abstract Shell for Dynamic Function eXchange in the Vivado Design Suite User Guide: Dynamic Function eXchange (UG909).