PartlistFile Contents - 2023.2 English

ISE to Vivado Design Suite Migration Guide (UG911)

Document ID
UG911
Release Date
2023-11-01
Version
2023.2 English
Table 1. Tcl Command to Partgen Partlist Content Mapping
Partlist Content Tcl Command
Device get_parts
Package get_property PACKAGE [get_parts <part_name>]
Speedgrade get_property SPEED [get_parts <part_name>]
NBIOBS llength [get_sites -filter {IS_BONDED==1 && SITE_TYPE =~ IOB*}]
SLICES_PER_CLB llength [get_sites -of_objects [lindex [get_tiles CLBLM_L_*] 0] -filter {NAME=~SLICE*}]
NUM_BLK_RAMS llength [get_sites RAMB36*]
NUM_BLK_RAM_COLS

set looplimit [llength [get_sites RAMB36*]];

for {set i 0} {$i <= $looplimit} {incr i} {

set BLK_PER_COL [llength [get_sites RAMB36_X${i}Y*]]

if {$BLK_PER_COL > 0} {

puts "Number of BlockRAM per Column for RAMB36_X${i}, $BLK_PER_COL"}}

for {set x 0} {$x <= $looplimit} {incr x} {

set BLK_COLS [llength [get_sites RAMB36_X*Y$x]]

if {$BLK_COLS > 0 } {

puts "Number of BlockRAM Columns for RAMB36_Y$x, $BLK_COLS"}}

FF_PER_SLICE llength [get_bels -of [get_sites SLICE_X0Y0] -fil

ter {NAME=~*FF*}]

NUM_MMCM llength [get_sites MMCM*]
NUM_LUTS_PER_SLICE

set SLICEM [lindex [get_sites * -filter SITE_TYPE==SLICEM] 0]

llength [get_bels -of $SLICEM -filter "TYPE=~LUT_OR_MEM*"]

LUT_NAME ENUMERATION and LUT_SIZE_ENUMERATION \

set SLICEM [lindex [get_sites * -filter SITE_TYPE==SLICEM] 0]

foreach bel [get_bels -of $SLICEM -filter "TYPE=~LUT_OR_MEM*"] {

set name [lindex [split $bel /] 1]

set fields [split [get_property TYPE $bel] "M"]

puts "LUT_NAME=$name and LUT_SIZE=[lindex $fields 2]"

}

set SLICEL [lindex [get_sites * -filter SITE_TYPE==SLICEL] 0]

foreach bel [get_bels -of $SLICEL -filter "TYPE=~LUT*"] {

set name [lindex [split $bel /] 1]

set fields [split [get_property TYPE $bel] "T"]

puts "LUT_NAME=$name and LUT_SIZE=[lindex $fields 1]"

}

NUM_GLOBAL_BUFFERS llength [get_sites BUFGCTRL*]
GLOBAL_BUFFERS ENUMERATION get_sites BUFGCTRL
GLOBAL_BUFFER IOBS ENUMERATION get_sites -of [get_package_pins -filter {IS_CLK_CAPABLE==1 && IS_MASTER==1}]
NUM_BUFIO_BUFFERS llength [get_sites BUFIO*]
BUFIO_BUFFERS ENUMERATION get_sites BUFIO
NUM_DSP llength [get_sites DSP*]
NUM_PCIE llength [get_sites PCIE*]
NUM_PLL llength [get_sites PLL*]
NUM_CLB llength [get_tiles CLB*]
CLKRGN ENUMERATION get_clock_regions
NUM_OF_SLR llength [get_slrs]
NUM_DSP_COLUMNS llength [get_sites DSP48_X*Y1]
NUM_DSP_PER_COLUMN llength [get_sites DSP48_X1Y*]
NUM_BRAM_PER_COLUMN

set looplimit [llength [get_sites RAMB36*]]

for {set i 0} {$i <= $looplimit} {incr i} {

set BLK_PER_COL [llength [get_sites RAMB36_X${i}Y*]]

if {$BLK_PER_COL > 0} {

puts "Number of BlockRAM per Column for RAMB36_X${i}, $BLK_PER_COL"}}

for {set x 0} {$x <= $looplimit} {incr x} {

set BLK_COLS [llength [get_sites RAMB36_X*Y$x]]

if {$BLK_COLS > 0 } {

puts "Number of BlockRAM Columns for RAMB36_Y$x, $BLK_COLS"}}

HEIGHT_OF_DSP foreach region [get_clock_regions] { puts "Height of DSP48 in $region, [llength [get_sites -filter "CLOCK_REGION==$region" DSP48*]]" }
SLR ENUMERATION get_slrs