LOCK_PINS - 2023.2 English

ISE to Vivado Design Suite Migration Guide (UG911)

Document ID
UG911
Release Date
2023-11-01
Version
2023.2 English
Applied To LUT cell
Constraint Values CSV string: I[0-5]:A[6-1]
UCF Example INST LUT1 LOCK_PINS = I3:A6, I2:A5;
XDC Example set_property LOCK_PINS {I3:A6 I2:A5} [get_cells LUT1]