By Net - 2023.2 English

ISE to Vivado Design Suite Migration Guide (UG911)

Document ID
UG911
Release Date
2023-11-01
Version
2023.2 English
UCF Example NET reset TIG;
XDC Example set_false_path -through [get_nets reset]

A better approach is to find the primary reset port and use:

set_false_path -from [get_ports reset_port]