vitis, v++, and vitis-run Commands - 2023.2 English

Vitis High-Level Synthesis User Guide (UG1399)

Document ID
UG1399
Release Date
2023-12-18
Version
2023.2 English

vitis command

Launch the Vitis unified IDE using the vitis command with the following syntax:

vitis -w <workspace> 

You can also use a number of other modes when working with the Vitis tool. You can use -h or --help to show the supported modes of the vitis command.

vitis -h

Syntax: vitis [-g (default) | -a | -w | -i | -s | -h | -v]

Options:
  -a/--analyze [<summary file | folder>]
         Open the summary file in the Analysis view.
         Opening a folder opens the summary files found in the folder.
         If no file or folder is specified, opens the Analysis view.
  -g/--gui
         Launches Vitis IDE (default option).
  -w/--workspace <workspace_location>
         Launches Vitis IDE with the given workspace location.
  -i/--interactive
         Launches Vitis python interactive shell.
  -s/--source <python_script>
         Runs the given python script.
  -j/--jupyter
         Launches Vitis Jupyter Web UI.
  -h/--help
         Display help message.
  -v/--version
         Display Vitis version.

The Vitis unified IDE launches either the v++ -c --mode hls command to synthesize the HLS component, or launches the vitis-run command to run C Simulation, Code Analyzer, C/RTL Co-Simulation, Package, and Implementation as described in Creating HLS Components from the Command Line. These commands are explained below.

v++ Command

The v++ -c --mode hls command is used to synthesize the HLS component for either the Vivado IP or Vitis kernel flow targets as described in Target Flow Overview, or compile the HLS component for use in software emulation of the System Project in the unified IDE as described in Building and Running the Application.

When using the v++ command in HLS component mode, the configuration file specifies a number of options for the tool and optimization directives for the source code as described in HLS Config File Commands. You can see some of these options by running the following command:

v++ -c --mode hls -h
usage: v++ [options] <input file...>

Generic options:
  -D [ --define ] arg     <name=definition> Predefine name as a macro with 
                          definition. This option is passed to the openCL 
                          preprocessor.
  -I [ --include ] arg    Add the directory to the list of directories to be 
                          searched for header files. This option is passed to 
                          the openCL preprocessor
  -c [ --compile ]        Run a compile mode
  -h [ --help ]           Print usage message
  -o [ --output ] arg     Set output file name. Default: a.xclbin (link, 
                          build), a.xo (compile)
  -v [ --version ]        Print version information
  --config arg            Config file
  --input_files arg       Specify input file(s). Input file(s) can also be 
                          specified positionally without using the 
                          --input_files option.
  --log_dir arg           Specify a directory to copy internally generated log 
                          files to
  --report_dir arg        Specify a directory to copy report files to
  --work_dir arg          Specify a working directory for output files and 
                          directories

Options allowed in a config file:

  --part arg              Specify a part

[hls] section:
  --hls.* arg             Specify hls options

vitis-run Command

The vitis-run command is used to run process steps on the HLS component using the --mode hls option. To see what command options are supported for the vitis-run command use the following command:

vitis-run --mode hls -h
usage: vitis-run [options] <input file...>

Generic options:
  -h [ --help ]           Print usage message
  -v [ --version ]        Print version information
  --config arg            Config file
  --cosim                 Specify hls run option cosim
  --csim                  Specify hls run option csim
  --impl                  Specify hls run option impl for vivado ooc
  --input_file arg        Specify an input file as positional argument with 
                          --tcl.
  --mode arg              [hls] Specify a mode.
  --package               Specify hls run option package
  --tcl                   Option to support Tcl script flow
  --work_dir arg          Specify a working directory for output files and 
                          directories

Options allowed in a config file:

  --part arg              Specify a part
  --platform		    Specify a platform to define the part

[hls] section:
  --hls.* arg             Specify hls options