Lab 5: Running UVM example - 2023.2 English

Vivado Design Suite Tutorial: Logic Simulation (UG937)

Document ID
UG937
Release Date
2023-11-01
Version
2023.2 English

AMD Vivado™ integrated design environment supports Universal Verification Methodology (UVM) in Vivado simulator (XSIM). UVM version 1.2 is pre-compiled and shipped with Vivado.

Through this tutorial, let us take a UVM-based example and run it in Vivado Simulator.

Note: Go to directory ug937-vivado-design-suite-tutorial-design-files/ug937-design-files/uvm of a tutorial that is downloaded at the start of Lab 1: Running the Simulator in Vivado IDE.