Specifying Switching Activity for Power Analysis - 2023.2 English

Vivado Design Suite User Guide: Power Analysis and Optimization (UG907)

Document ID
UG907
Release Date
2023-10-18
Version
2023.2 English

Simulation Results (SAIF File)

AMD Vivado™ Report Power matches nets in the design database with names in the simulation results netlist. The simulation results netlist is a SAIF (Switching Activity Interchange Format) file. For all nets matched, Vivado Report Power applies switching activity and static probability to calculate the design power. Simulation results can be generated early in the design flow, before synthesis or placement and routing. In this case it is preferable to capture from the simulation results only module I/O ports activity and let the vectorless engine provide internal node activity. Functional simulations do not capture glitch activity. Also, Report Power can not be able to match all nodes between the design and the simulation netlist because of logic transformations which happen during implementation (optimizations, replications, gating, retiming, etc.). Nevertheless most primary ports and control signals is matched and this information provides the tool with realistic activity for the matched nodes. The activity is propagated by the vectorless engine onto the unmatched design portion and increase the accuracy of the power analysis. Make sure to use the following type of simulation results:

  • Ensure test vectors and inputs to the simulation represent the typical or expected behavior of the design. Error handling and corner case simulations do not typically stimulate the logic in the way it would be stimulated under normal operation.
  • Post-implementation simulation results are preferred over behavioral simulation results. Full timing simulation would be much more accurate, because it helps with capturing timing glitch information into the SAIF results.
Important: Report power uses vectorless analysis with default switching rates to compute the activity on unmatched design nets with the given SAIF file. This results in different toggle rates in Power Report and it eventually reflects in XPE or PDM too. It is recommended not to use VHDL generated .saif files as the timing simulation is supported in Verilog only.
Important: To generate a SAIF file from the Vivado simulator for power analysis, refer to the Vivado Design Suite User Guide: Logic Simulation (UG900). To generate a SAIF file from the Mentor Graphics ModelSim simulator for power analysis within the AMD Vivado™ Design Suite, see Answer Record 53544. For full timing simulation, generate a design timing information (SDF) file using the write_sdf command and annotate it while running simulation.