RTL DRC の実行 - 2023.2 日本語

Versal アダプティブ SoC ハードウェア、IP、およびプラットフォーム開発設計手法ガイド (UG1387)

Document ID
UG1387
Release Date
2023-11-15
Version
2023.2 日本語

HDL で発生する可能性のあるコードの問題を特定するための RTL DRC ルールがあります。これらのチェックは、Flow Navigator の Open Elaborated Design をクリックして開いたエラボレート済みデザインで実行できます。これらの DRC チェックを実行するには、Flow Navigator で RTL Analysis > Report Methodology をクリックするか、Tcl コマンド プロンプトで report_methodology を実行します。