IO/MMCM/XPLL/DPLL/GT の LOC 制約 - 2023.2 日本語

Versal アダプティブ SoC ハードウェア、IP、およびプラットフォーム開発設計手法ガイド (UG1387)

Document ID
UG1387
Release Date
2023-11-15
Version
2023.2 日本語

クロックを制約するには、配置制約を次のように設定できます。

  • I/O ポートのクロック入力に設定

    GCIO のクロックに PACKAGE_PIN 制約を割り当てたり、IOB に LOC を割り当てたりすると、クロック ネットワークに影響します。入力ポートに直接接続される MMCM/XPLL/DPLL およびクロック バッファーは、同じクロック領域に配置する必要があります。

  • MMCM/XPLL/DPLL に設定

    MMCM/XPLL/DPLL 出力に直接接続されるクロック バッファーと MMCM/XPLL/DPLL 入力に接続される入力クロック ポートは、自動的に同じクロック領域に配置されます。入力クロック ポートと MMCM/XPLL/DPLL が直接接続されており、別のクロック領域に制約されている場合、クロック バッファーを手動で挿入し、MMCM/XPLL/DPLL に接続されたネットに CLOCK_DEDICATED_ROUTE 制約を設定する必要があります。

  • GT*_QUAD または IBUFDS_GT* セルに設定

    これらのセルで駆動される BUFG_GT は、同じクロック領域に配置されます。

注意:
AMDでは、クロック バッファー セルに LOC 制約を使用することはお勧めしません。クロックが特定のトラック ID に指定され、配線できない配置になることがあります。Versal デバイスでは、デザインのクロック ツリー全体を理解していて、配置がデザインで一貫している場合に、ファンアウトの大きいバッファーを配置するためにのみ LOC 制約を使用してください。このように注意を払っても、デザインや制約の変更により、インプリメンテーションで競合が発生することがあります。