CLOCK_ROUTE_GUIDE 制約の使用 - 2023.2 日本語

Versal アダプティブ SoC ハードウェア、IP、およびプラットフォーム開発設計手法ガイド (UG1387)

Document ID
UG1387
Release Date
2023-11-15
Version
2023.2 日本語

CLOCK_ROUTE_GUIDE は、クロック ピン オブジェクトに適用可能な STRING プロパティです。このプロパティを使用して、指定クロック ネットが XPIO のピンをロードするために使用する配線を定義できます。CLOCK_ROUTE_GUIDE プロパティを使用すると、MMCM/CLKFB1_DESKEW ピンなどの XPIO ロード ピンを駆動するクロック ネットのクロック配線と遅延を厳密に一致させることができます。有効な値は、CMT_ROW、BUFDIV_LEAF、および ANY です。

注記: このプロパティは XPIO クロック ロードにのみ適用されます。

次の表に、CLOCK_ROUTE_GUIDE 制約の値、使用法、および動作を示します。

表 1. Versal デバイス用 CLOCK_ROUTE_GUIDE 制約のサマリ
[Value] 使用法 ビヘイビアー
CMT_ROW XPIO クロック領域行にのみ含まれる水平方向の配線

例:

set_property CLOCK_ROUTE_GUIDE CMT_ROW [get_pins myHier/myBUFG/I]
CLOCK_ROUTE_GUIDE == CMT_ROW の場合、クロック配線は XPIO クロック領域行に含まれます。
BUFDIV_LEAF XPIO に到達するとき、BLI 経由で BUFDIV_LEAF を使用する配線

例:

set_property CLOCK_ROUTE_GUIDE BUFDIV_LEAF [get_pins myHier/myBUFG/I]
CLOCK_ROUTE_GUIDE == BUFDIV_LEAF の場合、クロック配線は BUFDIV_LEAF および BLI を経由します。
任意 XPIO に到達するとき、あらゆる配線を使用する配線

例:

set_property CLOCK_ROUTE_GUIDE ANY [get_pins myHier/myBUFG/I]
CLOCK_ROUTE_GUIDE == ANY の場合、クロック配線は許可されたノードを経由できます。

CLOCK_ROUTE_GUIDE == BUFDIV_LEAF の場合、クロック配線は BUFDIV_LEAF および BLI を経由します。

CLOCK_ROUTE_GUIDE == CMT_ROW の場合、クロック配線は HSR 行に含まれます。

次に、BUFDIV_LEAF のコード例を示します。

set_property CLOCK_ROUTE_GUIDE BUFDIV_LEAF [get_pins sample_clk_mmcm/inst/clock_primitive_inst/MMCME5_inst/CLKFB1_DESKEW]

次の図に、XPLL フィードバック パスで BUFDIV_LEAF および BLI を経由するクロック配線を示します。

図 1. BUFDIV_LEAF に設定された CLOCK_ROUTE_GUIDE 制約

次に、CMT_ROW のコード例を示します。

set_property CLOCK_ROUTE_GUIDE CMT_ROW [get_pins receiver_inst/xpll_fifo_wr_clk/CLKFB1_DESKEW]

次の図に、MMCM フィードバック パスで XPIO バンクにのみ含まれるクロック配線を示します。

図 2. CMT_ROW に設定された CLOCK_ROUTE_GUIDE 制約

CLOCK_ROUTE_GUIDE を使用するには、制約を入力し、目的の動作に応じて値を割り当てます。現在サポートされている値は、CMT_ROW、BUFDIV_LEAF、および ANY です。

次に、クロック マネージメント タイルが 1 つの行に揃えられる XPIO バンクに水平方向の配線のみを含める場合の例を示します。

set_property CLOCK_ROUTE_GUIDE CMT_ROW [get_pins myHier/myBUFG/I]

次に、XPIO に到達するときに BLI を経由して BUFDIV_LEAF を使用する配線の例を示します。

set_property CLOCK_ROUTE_GUIDE BUFDIV_LEAF [get_pins myHier/myBUFG/I]