Step 5: Adding an Additional Reconfigurable Module and Corresponding Configuration - 2023.1 English

Vivado Design Suite Tutorial: Dynamic Function eXchange (UG947)

Document ID
UG947
Release Date
2023-05-24
Version
2023.1 English
  1. With the design open in the Vivado IDE, open the Dynamic Function eXchange Wizard.
  2. On the Edit Reconfigurable Modules page, click the + button to add a new RM.
  3. Select the shift_right_slow.v file in <Extract_Dir>\Sources\hdl\shift_right_slow, and click OK.
  4. Enter shift_right_slow for the Reconfigurable Module name, click OK, and click Next.

    In the Edit Configurations page, there is no longer an option to automatically create configurations, as you already have two existing configurations. You can re-enable this option by removing all existing configurations, but this will recreate all configurations and remove all existing results.

  5. To create a new configuration, click the + button, enter the name config_right_slow, and press Enter. Select shift_right_slow for each Reconfigurable Partition instance.

  6. Click Next to advance to the Configuration Runs. Use the + button to create a new configuration with these properties:
    • Run: child_1_impl_1 - this simply matches the existing convention
    • Parent: impl_1 - this makes this configuration a child run of the existing parent run
    • Configuration: config_right_slow - this is the one with the new RMs that was just defined
      Note: The remaining options cannot be edited because they are not appropriate in this context.


  7. Click OK to add the new Configuration Run.

    This new configuration, as a child of the existing impl_1, will reuse the static design implementation results, just like config_left did. Three runs now exist, with two as children of the initial parent. The green check marks indicate that two of the runs are currently complete.



  8. Click Next, and click Finish to build this new configuration run.

  9. Select this new child implementation run, right-click, and select Launch Runs. This will run OOC synthesis on the shift_right_slow module, then implement this module within the context of the locked static design.