デザインのインプリメンテーション - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: プログラムおよびデバッグ (UG908)

Document ID
UG908
Release Date
2023-10-19
Version
2023.2 日本語

デバッグ コアを含むデザインをインプリメントするには、Vivado Design Suite で Run Implementation をクリックするか、次の Tcl コマンドを使用します。

launch_runs impl_1
wait_on_run impl_1

インプリメンテーション コマンド opt_design, place_design および route_design を使用して、デザインをインプリメントすることも可能です。さまざまなインプリメント方法の詳細は、 『Vivado Design Suite ユーザー ガイド: インプリメンテーション』 (UG904) を参照してください。