启动 FPGA 器件 - 2023.2 简体中文

Vivado Design Suite 用户指南: 编程和调试 (UG908)

Document ID
UG908
Release Date
2023-10-19
Version
2023.2 简体中文

对配置存储器器件进行编程后,您可发出软启动操作(即,JPROGRAM)以从所连接的配置存储器器件启动 FPGA 配置。要在目标 FPGA 上执行启动操作,请选中目标器件,右键单击并选择Boot from Configuration Memory Device(从配置存储器器件启动)。

图 1. Boot from Configuration Memory Device

重要: 从配置存储器启动后,可能出现由于系统启动设置而导致调试核不立即显示的情况。AMD 建议您等待一段时间,具体时间可在 AMD Vivado™ 硬件管理器的 Tcl 控制台内使用 boot_hw_device Tcl 命令来指定,如下所示:
boot_hw_device after 1000 [refresh_hw_device] 
 其中,可指定的最大 wait_on 值为 1000。