生成 QoR 评估报告 - 2023.2 简体中文

Vivado Design Suite 用户指南: 设计分析与收敛技巧 (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 简体中文

Vivado IDE 中打开设计并单击Reports > Report QoR Assessment(报告 > QoR 评估报告)即可访问 report_qor_assessment 命令。

图 1. Report QoR Assessment对话框

Tcl 控制台中的等效命令如下:

report_qor_assessment -name report_qor_assessment

要更改时序路径限制的默认值 100,请更改Number of paths for suggestion analysis(建议分析的路径数),如下图所示。等效 Tcl 命令使用 -max_paths <N> 开关,其中 N 是整数:

report_qor_assessment -max_paths <N>
Report passing metrics(报告合格指标)选项会展开详情表,以显示已检查但合格的所有指标。等效 Tcl 命令使用 -full_assessment_details 开关:
report_qor_assessment -full_assessment_details

相比于文本报告,生成支持性 CSV 文件的选项有助于改善浏览大量数据的能力。要生成支持性 CSV 文件,请选中该框并指定目录。CSV 文件用于:

  • 显示 RQA 评分中涉及的时序路径,以及是否有建议可用于帮助解决相关问题
  • 显示包含 DONT_TOUCH 属性(可能阻碍最优化)的单元和信号线

等效 Tcl 命令使用 -csv_output_dir 开关:

report_qor_assessment -csv_output_dir <directory>