创建智能设计运行 - 2023.2 简体中文

Vivado Design Suite 用户指南: 设计分析与收敛技巧 (UG906)

Document ID
UG906
Release Date
2023-10-19
Version
2023.2 简体中文

智能设计运行 (IDR) 是基于标准实现运行创建的。在Design Runs(设计运行)窗口中,右键单击实现运行,然后选择Close Timing using Intelligent Design Runs(使用智能设计运行收敛时序),如下图所示。

图 1. Close Timing Using Intelligent Design Runs命令

创建智能设计运行的等效 Tcl 命令如下所示:
create_run -flow {Vivado IDR Flow 2021} -parent_run <synth runName> <idr runName>
set_property REFERENCE_RUN impl_1 [get_runs <idr runName>]

REFERENCE_RUN 属性用于从实现运行复制 Tcl 挂钩。在每个实现的运行阶段都会应用 Tcl 挂钩。例如,如果存在 opt_design 前的 Tcl 挂钩,那么每次调用 opt_design 命令之前都会执行此挂钩。当运行复位时会检验该属性,以便提取对实现运行 Tcl 挂钩执行的后续更改。如果要将 Tcl 挂钩添加到 IDR,请首先创建实现运行、添加 Tcl 挂钩,然后创建新的 IDR。

注释: 当前不支持 init_design 前后的 Tcl 挂钩。

由于指令受 IDR 控制,从具有相同网表、相同约束和相同 Tcl 挂钩的运行创建 IDR 是没有价值的。因此存在如下限制:基于任意给定实现运行,只能创建一个 IDR。如需多个 IDR,请更改综合选项以创建不同网表或者修改布局规划。

注释: 无法从综合运行直接创建 IDR 运行。