跨 SLR 流水打拍注意事项 - 2023.2 简体中文

Versal 自适应 SoC 硬件、IP 和平台开发方法指南 (UG1387)

Document ID
UG1387
Release Date
2023-11-15
Version
2023.2 简体中文

如 NoC 带宽不足,请考虑为距离 HBM 栈较远的模块使用流水打拍。有时设计会包含大量流水线,工具可能难以分析 SLR 分区,而改用 SLR 级别布局规划来最优化 HBM 栈。对于 SLR 级别的布局规划,请根据用于锚定 PS、GT、I/O、特殊 IP 或硬核 IP 等的连接来评估逻辑所在位置。考量 HBM 栈和连接的布局规划对齐(例如,左侧的栈应对齐左侧连接,右侧的栈对齐右侧连接)。

提示: 请避免将相同逻辑/模块连接到多个栈,避免从左到右交叉连接。