非プロジェクト モードで基準デザインとして使用するデザイン チェックポイント ファイル (DCP) を指定してインクリメンタル配置を実行するには、次の手順に従います。
- 現在のデザインを読み込みます。
-
opt_design
を実行します。 -
read_checkpoint -incremental <dcp_file>
を実行します。 -
place_design
を実行します。 -
phys_opt_design
を実行します (オプション)。基準デザインでphys_opt_design
が使用されている場合に実行します。 -
route_design
を実行します。link_design; # to load the current design opt_design read_checkpoint -incremental <dcp_file> place_design phys_opt_design; route_design