非プロジェクト モードでのインクリメンタル インプリメンテーションの使用 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: インプリメンテーション (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 日本語
非プロジェクト モードで基準デザインとして使用するデザイン チェックポイント ファイル (DCP) を指定してインクリメンタル配置を実行するには、次の手順に従います。
  1. 現在のデザインを読み込みます。
  2. opt_design を実行します。
  3. read_checkpoint -incremental <dcp_file> を実行します。
  4. place_design を実行します。
  5. phys_opt_design を実行します (オプション)。基準デザインで phys_opt_design が使用されている場合に実行します。
  6. route_design を実行します。
    link_design; # to load the current design opt_design
    read_checkpoint -incremental <dcp_file> 
    place_design
    phys_opt_design; 
    route_design