その他のオプション - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: インプリメンテーション (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 日本語

read_checkpoint -incremental コマンドを使用すると、次のオプションを使用できます。

-auto_incremental オプション

「自動モード」で説明した自動インクリメンタル フローがイネーブルになります。

-fix_objects オプション

-fix_objects <cell objects>

-fix_objects オプションは、セルのサブセットを固定するために使用できます。固定されたセルは、インクリメンタル配置配線で変更されません。-fix_objects オプションは、一致していて再利用されるセルにのみ適用されます。

次に、-fix_objects の使用例を示します。

  • すべてのオブジェクトの再利用またはブロック メモリの配置のみの修正:
    read_checkpoint -incremental routed.dcp -fix_objects [all_rams]
  • すべてのオブジェクトの再利用および DSP のみの修正:
    read_checkpoint -incremental routed.dcp -fix_objects [all_dsps]

-force_incr オプション

-force_incr オプションを使用すると、インクリメンタル基準チェックに関係なく、インクリメンタル インプリメンテーション フローを強制的に実行できます。指定しない場合は、インクリメンタル インプリメンテーション フローは終了し、インクリメンタル以外のフローまたはデフォルトのフローが継続されることがあります。

このオプションを使用すると、インクリメンタル インプリメンテーションの設定値を変更せずに自動インクリメンタル フローのセル一致、ネット一致、および WNS の最小しきい値をアップデートできます。

注記: -force_incr は、-incremental オプションとのみ使用できます。