インクリメンタル フローでのチェックポイントの保存 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: インプリメンテーション (UG904)

Document ID
UG904
Release Date
2023-11-01
Version
2023.2 日本語

read_checkpoint -incremental で現在のデザインに基準チェックポイントを適用すると、インクリメンタル再利用データがフローを通して保持されます。チェックポイントを保存し、同じ Vivado Design Suite セッションまたは異なる Vivado Design Suite セッションに読み込み直すと、インクリメンタル コンパイル モードが保持されます。次のようなコマンド シーケンスを実行するとします。

opt_design; # optimize the current design
read_checkpoint -incremental reference.dcp; # apply reference data to current design write_checkpoint incr.dcp; # save a snapshot of the current design
read_checkpoint incr.dcp 
place_design
write_checkpoint top_placed.dcp; # save incremental placement result route_design

read_checkpoint incr.dcp を実行すると、Vivado ツールでインクリメンタル データが存在することが検出され、その後の place_design および route_design コマンドはインクリメンタル モードで実行されます。

次のコマンド シーケンスでは、Vivado Design Suite を終了して再起動しても、route_design コマンドは基準チェックポイント reference.dcp を使用してインクリメント モードで実行されます。

read_checkpoint top_placed.dcp 
phys_opt_design
route_design