概要 - 1.1 日本語

AXI Verification IP v1.1 LogiCORE IP 製品ガイド (PG267)

Document ID
PG267
Release Date
2017-10-04
Version
1.1 日本語

この図 に、AXI VIP のサンプル デザインを示します。

図 5-1: AXI VIP のサンプル デザイン

X-Ref Target - Figure 5-1

axi-vip-ex-des.png

このセクションでは、AXI VIP コアの機能を示すために使用するサンプル テストについて説明します。サンプル テストは SystemVerilog で提供されます。

コアのサンプル デザインを開くと、サンプル ファイルがテストベンチの標準パスで提供され、 bd デザインはインポート ディレクトリの下に置かれます。これらのパッケージは、ディレクトリ example.srcs/sources_1/bd/ex_sim/ipshared の下に置かれます。

サンプル デザインは、次の 3 つのコンポーネントで構成されています。

マスター モードの AXI VIP

パススルー モードの AXI VIP

スレーブ モードの AXI VIP

AXI マスター VIP は書き込み/読み出しトランザクションを作成し、AXI パススルー VIP へ送信します。AXI パススルー VIP は AXI マスター VIP からトランザクションを受信し、AXI スレーブ VIP へ送信します。AXI スレーブ VIP は、AXI パススルー VIP からトランザクションを受信すると、書き込み/読み出し応答を生成して AXI パススルー VIP に返し、最後に AXI マスター VIP に返されます。

AXI VIP (マスター、パススルー、およびスレーブ) のモニターは常に有効で、各インターフェイスからすべての情報を収集します。これらのモニターはインターフェイスの情報をトランザクション レベルに変換し、スコアボードに送信します。テストベンチには 2 つのスコアボードが内蔵されています。1 つは AXI パススルー VIP を基準にして AXI マスター VIP のセルフチェックを実行し、もう 1 つはパススルー VIP を基準にして AXI スレーブ VIP のセルフチェックを実行します。

AXI VIP コアは完全には自律動作しません。API を使用してテストを作成した場合、ユーザー環境からトランザクションをセットアップする方法はいくつかあります。AXI プロトコルに誤って違反する可能性があります。トランザクション クラスのメンバー関数はプロトコルおよびコンフィギュレーションの簡単なチェックを実行します。ジェネリック トランザクションを生成する際は、トランザクション ランダム化と制約を使用することを推奨します。また、ランダム化によって生成したトランザクションをさらに変更することも可能です。

AXI VIP をパススルー モードに設定した場合は、パッシブ モニターとして使用することも、インターフェイスを制御することもできます。AXI VIP は、ダウンストリームのスレーブを駆動するマスターと、マスターに対して応答するスレーブに切り替えることができます。このプロセスはシミュレーション中にいつでも実行でき、ユーザー設定によってパススルー モードに戻ることができます。

ランタイム マスター モードに切り替えた場合の動作は、AXI マスター VIP の場合とまったく同じです。ランタイム スレーブ モードに切り替えた場合の動作は、AXI スレーブ VIP の場合とまったく同じです。

重要: モードの切り替えは、すべてのトランザクションが完了した後に実行してください。トランザクションの完了を待つ方法は、サンプル デザインの例を参照してください。