Vivado シミュレータ プロジェクト ファイルの作成 - 2023.2 日本語

Vivado Design Suite チュートリアル: ロジック シミュレーション (UG937)

Document ID
UG937
Release Date
2023-11-01
Version
2023.2 日本語

AMD Vivado™ シミュレータ プロジェクト スクリプトは、シミュレーション用に解析およびコンパイルするデザイン ソース ファイルおよびライブラリを指定します。シミュレーション プロジェクト スクリプトの作成に便利で、プロジェクト開発中に繰り返し実行が可能です。

Vivado シミュレータ プロジェクト スクリプト (PRJ ファイル) は、次のようなフォーマットになっています。

verilog | vhdl| sv <library_name> {<file_name>.v|.vhd|.sv

説明:

  • verilog | vhdl | sv は、デザインのソースが Verilog、VHDL、または SV ファイルであることを示します。
  • <library_name> は、ソース ファイルをコンパイルできるライブラリを指定します。指定がない場合は、コンパイル用のデフォルト ライブラリ work が使用されます。
  • <file_name>.v|.vhd|.sv は、コンパイルするデザイン ソース ファイルの名前を指定します。
重要: Verilog の場合は、1 つのコマンド ラインに複数のソース ファイルを指定できますが、VHDL の場合は、1 つのコマンド ラインに 1 つのソース ファイルしか指定できません。

この手順では、既存のプロジェクト スクリプトを編集し、不足のソース ファイルを追加して、Vivado シミュレータ プロジェクト スクリプトを構築します。プロジェクト スクリプトのコマンド ラインは、上記の構文を使用したものになっているはずです。

  1. <Extract_Dir>/scripts フォルダーを参照します。
  2. テキスト エディターで simulate_xsim.prj プロジェクト スクリプトを開きます。
  3. プロジェクト スクリプトに次のコマンドを追加します。
    vhdl xil_defaultlib "../sources/sinegen.vhd"
    vhdl xil_defaultlib "../sources/debounce.vhd"
    vhdl xil_defaultlib "../sources/fsm.vhd"
    vhdl xil_defaultlib "../sources/sinegen_demo.vhd"
    verilog xil_defaultlib "../sim/testbench.v"
  4. ファイルを保存して閉じます。

ソースをリストするときに、特定の依存順序で並べる必要はありません。xelab コマンドで、依存関係の順序が確認され、自動的にその順番でファイルが処理されます。

ヒント: 参考のため、このチュートリアル ファイルの完成版が ug937-design-files/completed フォルダーにあります。