手順 3: Synplify Pro で作成したブラック ボックス用の DCP の作成 - 2022.1 日本語

Vivado Design Suite チュートリアル: プログラムおよびデバッグ (UG936)

Document ID
UG936
Release Date
2022-05-20
Version
2022.1 日本語
Synplify Pro プロジェクトで作成されたブラック ボックス「sinegen」には、Direct Digital Synthesizer IP が含まれています。このブロック用に合成済みデザインを作成する必要があります。このためには、次の手順に沿って Vivado® IDE で RTL タイプのプロジェクトを作成します。
  1. Vivado IDE を起動します。
  2. Create Project をクリックします。New Project ウィザードが開きます。Next をクリックします。
  3. [Project Name] を「proj_synplify_netlist」に設定します。Next をクリックします。
  4. [Project Type] を RTL Project に設定します。Next をクリックします。
  5. [Add Sources] で Add Files をクリックし、Vivado_Debug/src/lab4 フォルダーを参照して sinegen.vhd を選択します。[Target Language] を [VHDL] に設定します。[Copy sources into project] チェック ボックスがオンになっていることを確認します。Next をクリックします。
  6. もう一度 Add Files をクリックし、Vivado_Debug/src/lab4 フォルダーを参照して sine_high.xcisine_low.xci、および sine_mid.xci ファイルを選択します。Next をクリックします。
  7. [Default Parts] で [Boards] をクリックし、Kintex-7 KC705 Evaluation Platform およびハードウェアの正しいバージョンを選択します。Next をクリックします。
  8. [New Project Summary] ですべての設定が正しいことを確認します。Finish をクリックします。
  9. プロジェクトを作成したら、Vivado Flow Navigator で [Project Manager] の下にある Settings をクリックします。ダイアログ ボックスの左側にある Synthesis をクリックします。ダイアログ ボックス右側にあるプルダウン メニューで set -flatten_hierarchy を none に設定します。OK をクリックします。
  10. Vivado IDE の Flow Navigator で [Synthesis] → Run Synthesis をクリックします。
  11. 合成が完了すると、[Synthesis Completed] ダイアログ ボックスが開きます。Open Synthesized Design をオンにして、OK をクリックします。
  12. Vivado IDE で File > Exit をクリックします。ダイアログ ボックスが表示されるので、[OK] をクリックしてそのダイアログ ボックスを終了します。