物理制約の設定 - 2023.2 日本語 - 2023.1 日本語

Vivado Design Suite チュートリアル: 制約の使用 (UG945)

Document ID
UG945
Release Date
2023-10-18
Version
2023.2 日本語

この演習では、CPU ネットリスト デザインの物理制約を作成し、GUI での操作がどのように Tcl コマンドに変換されるのかを確認します。Tcl コマンドを使用すると、複雑な操作を簡単にスクリプト化して、フローのさまざまな段階で繰り返し使用することが可能です。

注記: 演習 1 から続けて実行しており、デザインが開いた状態である場合は、手順 2: 配置制約の追加 に進んでください。