ブロック デザインでの RTL モジュールの参照 - 2022.1 日本語

Vivado Design Suite ユーザー ガイド: デザイン フローの概要 (UG892)

Document ID
UG892
Release Date
2022-04-20
Version
2022.1 日本語

Vivado IP インテグレーターのモジュール参照機能を使用すると、Verilog または VHDL ソース ファイルで定義されたモジュールまたはエンティティをブロック デザインに簡単に追加できます。この機能を使用すると、RTL を IP としてパッケージし、Vivado IP カタログから追加するプロセスを使用せずに RTL モジュールを追加できます。モジュール参照フローはすばやく実行できますが、IP カタログの使用といった利点は提供されません。どちらの方法にも利点と欠点があります。詳細は、 『Vivado Design Suite ユーザー ガイド: IP インテグレーターを使用した IP サブシステムの設計』 (UG994)このセクションを参照してください。