クロッキング - 2022.1 日本語

Versal ACAP デザイン ガイド (UG1273)

Document ID
UG1273
Release Date
2022-04-27
Version
2022.1 日本語

ザイリンクスでは、Versal アーキテクチャで最適なクロッキング結果を得るために、次の実行を推奨しています。

  • Clocking Wizard を使用して、Versal ACAP クロック管理プリミティブを設定します。Vivado ツールを使用して以前のアーキテクチャからクロック管理機能を移行すると、最適ではない構成となる可能性があります。詳細は、 『Clocking Wizard for Versal ACAP LogiCORE IP 製品ガイド』 (PG321) を参照してください。
  • Versal ACAP のクロック管理プリミティブの物理的なロケーションと、以前のアーキテクチャで使用されていたクロッキング トポロジを確認します。

デザインのクロック ネットワークの設計に関する詳細は、 『Versal ACAP ハードウェア、IP、およびプラットフォーム開発設計手法ガイド』 (UG1387) を参照してください。 Versal デバイスのクロック管理プリミティブの機能とロケーションの詳細は、 『Versal ACAP クロッキング リソース アーキテクチャ マニュアル』 (AM003) を参照してください。

Versal デバイスには UltraScale デバイスと同様のクロッキング機能が備わっていますが、移行時には次の注意点があります。

クロック管理機能

  • クロック管理機能は、Versal デバイスの MMCME5、XPLL、および DPLL プリミティブで提供されます。Versal デバイスのクロック管理プリミティブには、UltraScale デバイスの同様のプリミティブにはない追加のスキュー調整ロジック機能が含まれています。
  • Versal デバイスのクロック管理プリミティブのロケーションは、UltraScale デバイスではカラム状のアーキテクチャに沿っているのに対し、規則的な構造とはなっておらず、Versal デバイスで必要なロケーションにのみ配置されます。シナリオによっては、Versal デバイスへの移行時に配置の柔軟性が制限される可能性があるため、移行時はクロック構造を注意深く確認する必要があります。
  • UltraScale+ デバイスのプリミティブは、次のように Versal デバイス プリミティブに移行します。
    • UltraScale+ デバイスのプリミティブ MMCME4_ADV は、Versal デバイスのプリミティブ MMCME5 に移行します。MMCME5 では、ZHOLD はサポートされません。以前のアーキテクチャから移行した結果、MMCME5 の設定が最適ではなくなる可能性があるため、ザイリンクスでは、Versal アーキテクチャで最適なパフォーマンスが得られるよう、Clocking Wizard を使用して MMCME5 を直接設定することを推奨しています。
    • UltraScale+ デバイスのプリミティブ PLLE4_ADV は、Versal デバイスのプリミティブ XPLL に移行します。以前のアーキテクチャから移行した結果、XPLL の設定が最適ではなくなる可能性があるため、ザイリンクスでは、Versal アーキテクチャで最適なパフォーマンスが得られるよう、Clocking Wizard を使用して XPLL を直接設定することを推奨しています。

グローバル クロック バッファー

  • BUFGCE、BUFGCE_DIV、BUFGCTRL、BUFG_PS、および BUFG_GT などの以前のアーキテクチャのグローバル クロック バッファーは、Versal アーキテクチャに自動的に移行されます。
  • Versal デバイスの新しいマルチ クロック バッファー (MBUFG) プリミティブを使用すると、最下位レベルでのクロック分周が可能で、クロック トラックの使用率を低減し、同期クロック乗せ換えのタイミングを向上できます。

クロック配線のリソース

  • Versal デバイスのクロック配線構造は UltraScale デバイスのものと似ており、デバイス全体でグローバル クロッキングが使用されますが、ロードは領域内またはグローバルに配置できます。
  • Versal デバイスはカラム状 I/O アーキテクチャを採用しておらず、XPIO バンクのないクロック領域には水平配線トラックは 12 のみです。XPIO バンクを含むクロック領域には、24 の水平配線トラックがあります。