手順 8: 合成およびインプリメンテーションの起動 - 2023.2 日本語

Vivado Design Suite チュートリアル: IP を使用した設計 (UG939)

Document ID
UG939
Release Date
2023-11-13
Version
2023.2 日本語
プロジェクトは合成およびインプリメンテーションに進む準備が整いました。Vivado Design Suite では、プロジェクトに使用されているさまざまな IP に必要な生成ファイルが随時自動生成されます。合成、シミュレーション、インプリメンテーションでこれらの生成ファイルを使用する前に、ファイルを変更する必要がない限り、手動でこれらのファイルを生成する必要はありません。

プロジェクト モードでは、Vivado Design Suite でデザイン run を使用し、デザインのステートを管理することにより、合成およびインプリメンテーションの詳細が管理されます。したがって、プロジェクトベースのデザインで合成およびインプリメンテーションを実行するには、launch_runs コマンドを使用できます。

  1. Tcl スクリプトに次の行を追加します。
    launch_runs synth_1

    デフォルトで、synth_1 という名前の合成 run が各プロジェクトに作成されます。create_run コマンドを使用して新しい run を手動で作成したり、set_property コマンドを使用して run のプロパティを設定したりもできます。run の作成および設定の詳細は、 『Vivado Design Suite ユーザー ガイド: デザイン フローの概要』 (UG892) を参照してください。

    合成 run が完了したら、インプリメンテーション run を起動できます。ただ、インプリメンテーション run は合成 run の終了に依存しているので、wait_on_run コマンドを使用して、合成が完了するまで Tcl スクリプトを待機させる必要があります。

  2. スクリプトに次の 2 行を追加します。
    wait_on_run synth_1
    launch_runs impl_1 -to_step write_bitstream

    合成 run (synth_1) が完了すると、インプリメンテーション run (impl_1) が開始します。インプリメンテーションには複数のステップがあり、まずネットリスト最適化が開始し、配置配線が実行されますが、AMD FPGA のビットストリーム生成も含めることができます。

    Tcl スクリプトに追加した -to_step オプションは、インプリメンテーションにビットストリーム生成のステップも含められることを示してします。デフォルトでは、インプリメンテーションにこのステップは含まれていません。詳細は、 『Vivado Design Suite ユーザー ガイド: インプリメンテーション』 (UG904) を参照してください。

    ヒント: または、write_bitsteam コマンドを使用します。この場合、まずは open_run コマンドでインプリメンテーション run を開いておく必要があります。

    インプリメンテーションを開始する前に合成が完了するのを待機したように、インプリメンテーションが完了するまで、レポート生成や Tcl スクリプトの終了を待ちます。

  3. インプリメンテーション run が完了するまで Tcl スクリプトを待機させるため、wait_on_run コマンドをスクリプトに追加します。
    wait_on_run impl_1

    これで、インプリメンテーション run が完了するまでスクリプトは待機します。