手順 4: 既存 IP の追加 - 2023.2 日本語

Vivado Design Suite チュートリアル: IP を使用した設計 (UG939)

Document ID
UG939
Release Date
2023-11-13
Version
2023.2 日本語
重要: Vivado では、NGC フォーマットのファイルは AMD UltraScale™ デバイス用にサポートされていません。Vivado Design Suite で IP を再生成し、ネイティブ出力ファイルを使用することをお勧めします。または、NGC ファイルを NGC2EDIF コマンドで EDIF に変換してインポートすることもできます。ただし、AMD では、今後は XST で生成された NGC フォーマットではなく Vivado のネイティブ IP を使用することを勧めします。

また、プロジェクトに IP コアもインポートできます。このデザインには、4 つの IP コアがあります。

アキュムレータ
Vivado 2023.2 バージョンの IP で、すべての出力ファイルが含まれます。
Block Memory Generator
Vivado Design Suite の古いバージョンのネイティブ IP で、出力ファイルは生成されていません。
FIFO Generator
Vivado 2023.2 バージョンの IP で、DCP を含むすべての出力ファイルがあります。
クロッキング ウィザード
Vivado 2023.2 バージョンの IP で、出力ファイルはありません。

これらすべての IP は、ネイティブ Vivado コアです。これらは既にカスタマイズされていて、ザイリンクス コア インスタンス (XCI) ファイルが用意されています。

これらの IP をプロジェクトにインポートするには、次の行をスクリプトに追加します。
import_ip -files { \
../Lab_3_4_sources/IP/Accumulator/c_accum_0.xci \ 
../Lab_3_4_sources/IP/blk_mem/blk_mem_gen_v7_3_0.xci \ 
../Lab_3_4_sources/IP/clk_wiz/clk_wiz_0.xci \ 
../Lab_3_4_sources/IP/char_fifo/char_fifo.xci}
この行が処理されると、Vivado Design Suite から次の警告メッセージが表示されます。
WARNING: [IP_Flow 19-2162] IP 'blk_mem_gen_v7_3_0' is locked:
* IP definition 'Block Memory Generator (7.3)' for IP 'blk_mem_gen_v7_3_0' has a newer major version in the IP Catalog.
Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.

c_accum_0 は最新版で、すべての出力ファイルが揃っているので、インポート時に警告メッセージは表示されません。

blk_mem_gen_v7_3_0 コアは、IP カタログにある最新版ではありません。合成やシミュレーションを実行するのに必要な出力ファイルがないので、最新版にアップグレードする必要があります。この IP を次の手順でアップグレードできます。アップグレードできない場合は、IP を作成し直す必要があります。

clk_wiz_0 の場合、カスタマイズされた XCI ファイル (.xci) と共に生成された出力ファイルが検出されなかったので、この IP は IP カタログのバージョンと同じです。次の手順で、この IP の出力ファイルを手動で生成できます。

char_fifo のバージョンは最新版で、すべての出力ファイルが揃っているので、インポート時に警告メッセージは表示されません。