System Generator でのタイミング解析 - 2020.2 日本語

Vivado Design Suite ユーザー ガイド: System Generator を使用したモデル ベースの DSP デザイン (UG897)

Document ID
UG897
Release Date
2020-11-18
Version
2020.2 日本語

System Generator で生成された HDL ファイルがハードウェアで正しく動作することを確認するには、タイミング クロージャを達成する必要があります。このプロセスを迅速に実行できるようにするため、System Generator にタイミング解析が統合されています。

このタイミング解析を使用すると、合成後またはインプリメンテーション後に System Generator で生成された HDL ファイルに対してスタティック タイミング解析を実行できます。合成後またはインプリメンテーション後のネットリストに Vivado® タイミング エンジンを実行した結果と、 Simulink® System Generator モデルを比較する機能も備えているため、 Simulink® モデリング環境内でデザインの DSP サブモジュールのタイミング クロージャを達成できます。

コンパイル ターゲット (HDL ネットリストなど) でタイミング解析を実行すると、パスが表に表示され、表の列にタイミング スラックやパス遅延などの情報が表示されます。これがタイミング解析の表です。この表の内容は、列を使用してスラックなどのメトリクスに基づいて並べ替えることができます。また、表のエントリと Simulink モデル間をクロスプローブできるようになっており、モデルのタイミング エラーを検出および修正しやすくなっています。タイミング解析の表から Simulink モデルにクロスプローブするには、表の行を選択します。モデル内の対応するパスがハイライトされます。パスにタイミング違反がある場合は赤でハイライトされ、違反がない場合は緑色でハイライトされます。