The dataflow viewer is for netlist analysis of an dataflow optimized netlist only. As a consequence, a large number of commands are not supported with the dataflow design. They include but are not limited to:
- All timing commands
-
report_timing
,report_clock_interaction
,create_clock
,set_max_delay
, and, and any timing constraint or timing report
-
- All ECO commands
-
create_cell
,create_net
,create_pin
,connect_net
, and so on.
-
- Implementation commands
-
synth_design
,opt_design
,place_design
,phys_opt_design
,route_design
,write_device_image
-
- Reporting commands
-
report_high_fanout_nets
,report_control_sets
,report_clock_utilization
,report_ram_utilization
,report_design_analysis
,report_qor_suggestions
,report_qor_assessment
-