Versal designs created prior to 2024.2 can be migrated to the Advanced Flow. Upgrading to the Advanced Flow requires some extra considerations than when compared to a typical project upgrade due to a Vivado version change. You might not want to migrate designs in production or designs nearing completion, especially if you have invested many iterations to improve timing or if you depend on features such as Intelligent Design Runs that are not supported by Advanced Flow.
A limitation that makes project upgrades more restrictive is place and route data from pre-2024.2 Vivado versions can not be reused in the Advanced Flow. This impacts DFX platform designs where the static logic is created using a pre-2024.2 version of Vivado. Refer to Vivado Design Suite User Guide: Dynamic Function eXchange (UG909) for more details about DFX designs with Advanced Flow.
For the latest information about Advanced Flow migration, check Answer Record 000036830.
For Vivado projects, automatic migration takes place when the project is opened in the later version of Vivado. The migration process modifies the project's implementation runs to ensure only Advanced Flow supported commands are used. Note that once a Versal project has been migrated to Advanced Flow, it cannot be opened in prior Vivado versions. Therefore, it is highly recommended that the project be backed up under revision control. For non-project users, minor scripts modifications are required. The areas modified are captured below:
Feature | Project Flow Impact | Non Project Impact Commands |
---|---|---|
Implementation Runs |
Implementation runs are reset Strategy is mapped to equivalent strategy if it exists, else default |
Modify place_design command line: place_design -directive <..> -net_delay_weight <..> -subdirective <..> |
Custom run strategy | Mapped to default strategy | |
Place Design Default VTree | Changed from InterSLR to Balanced | place_design -clock_vtree_type <..> |
Intelligent Design Runs | Run is deleted | n/a |
Incremental Implementation | Mapped to default strategy |
Remove the following commands: read_checkpoint -incremental report_incremental_reuse |
ML Strategy | Mapped to default strategy |
Remove commands with the following directives: opt_design -directive RQS place_design -directive RQS phys_opt_design -directive RQS route_design -directive RQS |
Auto directives | Mapped to default strategy |
Remove commands with the following directives: place_design -directive Auto_1, Auto_2, and Auto_3 |
Power Opt Design | Power opt design is disabled as part of strategy migration |
Remove the following commands: power_opt_design report_power_opt set_power_opt |
ECO | Incremental placer is no longer used. Standard place is used instead with eco switch. No change to route_design for ECO |
New command to use: place_design -eco -no_timing_driven |
For more information, refer to the following documents: