Non-Project Flows - 2024.1 English

Vivado Design Suite User Guide: Using Constraints (UG903)

Document ID
UG903
Release Date
2024-06-15
Version
2024.1 English

In Non-Project Mode, read each file individually before executing the compilation commands.

The example script below shows how to use one or more XDC files for synthesis and implementation.

Example Script:

read_verilog [glob src/*.v] 
read_xdc wave_gen_timing.xdc 
read_xdc wave_gen_pins.xdc
synth_design -top wave_gen -part xc7k325tffg900-2 
opt_design
place_design 
route_design