The following table shows the revision history for this document:
| Section | Revision Summary |
|---|---|
| 06/16/2025 Version 2025.1 | |
| add_qor_checks, clear_noc_solution, create_qor_ruledeck, delete_qor_checks, delete_qor_ruledecks, finalize_eco, get_qor_ruledecks, move_pblock, report_clock_uncertainty, report_noc_qos, report_sim_env, write_noc_qos | Commands Added in 2025.1 |
| export_xsim_coverage, get_drc_checks, get_example_designs, open_checkpoint, phys_opt_design, place_design, read_noc_solution, report_dfx_summary, report_pulse_width, report_qor_suggestions, report_ram_utilization, report_route_status, report_utilization, validate_cluster_configurations, write_device_image, write_noc_solution, write_project_tcl | Commands Modified in 2025.1 |
| report_noc_addresses | Commands Deprecated in 2025.1 |