Required Constraints
For DDR3/DDR4 SDRAM Vivado IDE, you specify the pin location constraints. For more information on I/O standard and other constraints, see the Vivado Design Suite User Guide: I/O and Clock Planning (UG899). The location is chosen by the Vivado IDE according to the banks and byte lanes chosen for the design.
The I/O standard is chosen by the memory type selection and options in
the Vivado IDE and by the pin type. A sample for dq[0]
is shown here.
set_property PACKAGE_PIN AF20 [get_ports "c0_ddr4_dq[0]"]
set_property IOSTANDARD POD12_DCI [get_ports "c0_ddr4_dq[0]"]
Internal VREF is always used for DDR4. Internal VREF is optional for DDR3. A sample for DDR4 is shown here.
set_property INTERNAL_VREF 0.600 [get_iobanks 45]
The system clock must have the period set properly:
create_clock -name c0_sys_clk -period.938 [get_ports c0_sys_clk_p]
For HR banks, update the output_impedance of all the ports assigned to HR banks pins using the reset_property command. For more information, see AR: 63852.
Maximum Delay Constraints
The following code example shows the maximum delay constraints for the ZCU106 (125 MHz)
set_max_delay -datapath_only -from [get_clocks mmcm_clkout0] -to [get_clocks mmcm_clkout2] 2.900
set_max_delay -datapath_only -from [get_clocks mmcm_clkout2] -to [get_clocks mmcm_clkout0] 3.900
The following code example shows the maximum delay constraints for the ZCU104 (300 MHz)
set_max_delay -datapath_only -from [get_clocks mmcm_clkout0] -to [get_clocks mmcm_clkout2] 3.231
set_max_delay -datapath_only -from [get_clocks mmcm_clkout2] -to [get_clocks mmcm_clkout0] 3.897
Device, Package, and Speed Grade Selections
This section is not applicable for this IP core.
Clock Frequencies
This section is not applicable for this IP core.
Clock Management
For more information on clocking, see Clocking.
Clock Frequencies
This section is not applicable for this IP core.
Clock Placement
This section is not applicable for this IP core.
Banking
This section is not applicable for this IP core.
Transceiver Placement
This section is not applicable for this IP core.
I/O Standard and Placement
The DDR3/DDR4 SDRAM tool generates the appropriate I/O standards and placement based on the selections made in the Vivado IDE for the interface type and options.
Simulation
Simulation of the Zynq AMD UltraScale⢠EV Architecture Video Codec Unit DDR4 LogiCORE IP is not supported.
Synthesis and Implementation
For details about synthesis and implementation, see the Vivado Design Suite User Guide: Designing with IP (UG896).
Data Rates Supported by VCU DDR4 Controller
UltraScale+ Part | Data Rate | VCC_INT (in Volts) | ||
---|---|---|---|---|
2133 | 2400 | 2666 | ||
xczu7ev-fbvb900-1-e | YES | YES | NO | 0.85 |
xczu7ev-fbvb900-1-i | YES | YES | NO | 0.85 |
xczu7ev-fbvb900-1L-i | YES | YES | NO | 0.85 |
xczu7ev-fbvb900-1LV-i | NO | NO | NO | 0.72 |
xczu7ev-fbvb900-2-e | YES | YES | YES | 0.85 |
xczu7ev-fbvb900-2-i | YES | YES | YES | 0.85 |
xczu7ev-fbvb900-2L-e | YES | YES | YES | 0.85 |
xczu7ev-fbvb900-2LV-e | YES | YES | NO | 0.72 |
xczu7ev-fbvb900-3-e | YES | YES | YES | 0.9 |
xczu7ev-ffvc1156-1-e | YES | YES | NO | 0.85 |
xczu7ev-ffvc1156-1-i | YES | YES | NO | 0.85 |
xczu7ev-ffvc1156-1L-i | YES | YES | NO | 0.85 |
xczu7ev-ffvc1156-1LV-i | NO | NO | NO | 0.72 |
xczu7ev-ffvc1156-2-e | YES | YES | YES | 0.85 |
xczu7ev-ffvc1156-2-i | YES | YES | YES | 0.85 |
xczu7ev-ffvc1156-2L-e | YES | YES | YES | 0.85 |
xczu7ev-ffvc1156-2LV-e | YES | YES | NO | 0.72 |
xczu7ev-ffvc1156-3-e | YES | YES | YES | 0.9 |
xczu7ev-ffvf1517-1-e | YES | YES | NO | 0.85 |
xczu7ev-ffvf1517-1-i | YES | YES | NO | 0.85 |
xczu7ev-ffvf1517-1L-i | YES | YES | NO | 0.85 |
xczu7ev-ffvf1517-1LV-i | NO | NO | NO | 0.72 |
xczu7ev-ffvf1517-2-e | YES | YES | YES | 0.85 |
xczu7ev-ffvf1517-2-i | YES | YES | YES | 0.85 |
xczu7ev-ffvf1517-2L-e | YES | YES | YES | 0.85 |
xczu7ev-ffvf1517-2LV-e | YES | YES | NO | 0.72 |
xczu7ev-ffvf1517-3-e | YES | YES | YES | 0.9 |
xczu4ev-fbvb900-1-e | YES | YES | NO | 0.85 |
xczu4ev-fbvb900-1-i | YES | YES | NO | 0.85 |
xczu4ev-fbvb900-1L-i | YES | YES | NO | 0.85 |
xczu4ev-fbvb900-1LV-i | NO | NO | NO | 0.72 |
xczu4ev-fbvb900-2-e | YES | YES | YES | 0.85 |
xczu4ev-fbvb900-2-i | YES | YES | YES | 0.85 |
xczu4ev-fbvb900-2L-e | YES | YES | YES | 0.85 |
xczu4ev-fbvb900-2LV-e | YES | YES | NO | 0.72 |
xczu4ev-fbvb900-3-e | YES | YES | YES | 0.9 |
xczu4ev-sfvc784-1-e | NO | NO | NO | 0.85 |
xczu4ev-sfvc784-1-i | NO | NO | NO | 0.85 |
xczu4ev-sfvc784-1L-i | NO | NO | NO | 0.85 |
xczu4ev-sfvc784-1LV-i | NO | NO | NO | 0.85 |
xczu4ev-sfvc784-2-e | YES | YES | NO | 0.85 |
xczu4ev-sfvc784-2-i | YES | YES | NO | 0.85 |
xczu4ev-sfvc784-2L-e | YES | YES | NO | 0.85 |
xczu4ev-sfvc784-2LV-e | YES | YES | NO | 0.72 |
xczu4ev-sfvc784-3-e | YES | YES | NO | 0.9 |
xazu4ev-sfvc784-1-i | NO | NO | NO | 0.85 |
xazu4ev-sfvc784-1LV-i | NO | NO | NO | 0.85 |
xazu4ev-sfvc784-1Q-q | NO | NO | NO | 0.85 |
xazu5ev-sfvc784-1-i | NO | NO | NO | 0.85 |
xazu5ev-sfvc784-1LV-i | NO | NO | NO | 0.85 |
xazu5ev-sfvc784-1Q-q | NO | NO | NO | 0.85 |
xazu7ev-fbvb900-1-i | NO | NO | NO | 0.85 |
xazu7ev-fbvb900-1Q-q | NO | NO | NO | 0.85 |
xczu5ev-fbvb900-1-e | NO | NO | NO | 0.85 |
xczu5ev-fbvb900-1-i | NO | NO | NO | 0.85 |
xczu5ev-fbvb900-1L-i | NO | NO | NO | 0.85 |
xczu5ev-fbvb900-1LV-i | NO | NO | NO | 0.72 |
xczu5ev-fbvb900-2-e | YES | YES | YES | 0.85 |
xczu5ev-fbvb900-2-i | YES | YES | YES | 0.85 |
xczu5ev-fbvb900-2L-e | YES | YES | YES | 0.85 |
xczu5ev-fbvb900-2LV-e | YES | YES | NO | 0.72 |
xczu5ev-fbvb900-3-e | YES | YES | YES | 0.9 |
xczu5ev-sfvc784-1-e | NO | NO | NO | 0.85 |
xczu5ev-sfvc784-1-i | NO | NO | NO | 0.85 |
xczu5ev-sfvc784-1L-i | NO | NO | NO | 0.85 |
xczu5ev-sfvc784-1LV-i | NO | NO | NO | 0.85 |
xczu5ev-sfvc784-2-e | YES | YES | NO | 0.85 |
xczu5ev-sfvc784-2-i | YES | YES | NO | 0.85 |
xczu5ev-sfvc784-2L-e | YES | YES | NO | 0.85 |
xczu5ev-sfvc784-2LV-e | YES | YES | NO | 0.72 |
xczu5ev-sfvc784-3-e | YES | YES | NO | 0.85 |