run_vivado_place_n_route.tcl - 2022.2 English - UG911

ISE to Vivado Design Suite Migration Guide (UG911)

Document ID
UG911
Release Date
2022-10-19
Version
2022.2 English

# Gathering TCL Arg

set DESIGN [lindex $argv 0]

read_checkpoint ./${DESIGN}_opt.dcp

link_design

# Placing Design

place_design

write_checkpoint -force ./${DESIGN}_place.dcp

# Routing Design

route_design

# Saving Run

write_checkpoint -force ./${DESIGN}_route.dcp

# Creating route reports

report_timing_summary -max_paths 10 -nworst 1 -input_pins

report_drc -file ${DESIGN}_drc_route.rpt

exit