Implement the design containing the debug core by clicking Run Implementation in the Vivado Design Suite or by running the following Tcl commands:
launch_runs impl_1
wait_on_run impl_1
You can also implement the design using the implementation commands opt_design,
place_design
, and route_design
. Refer to the
Vivado Design Suite User Guide:
Implementation (UG904) for more details on the various ways you can
implement your design.