10/19/2022 Version 2022.2 |
get_qor_checks,
tandem_verify
|
Commands Added in 2022.2 |
assign_bd_address,
config_ip_cache,
create_hw_target,
export_ip_user_files,
export_simulation,
find_routing_path,
get_bd_addr_segs,
get_bels,
get_cells,
iphys_opt_design,
launch_simulation,
opt_design,
read_checkpoint,
read_iphys_opt_tcl,
readback_hw_device,
report_qor_assessment,
synth_design,
update_clock_routing,
validate_board_files,
write_bd_tcl
|
Commands Modified in 2022.2 |
report_pipeline_analysis,
setup_ip_static_library
|
Commands Removed in 2022.2 |
05/05/2022 Version 2022.1 |
All intro topics
|
Resource IDs added for proper linking in Fluid Topics. |
04/20/2022 Version 2022.1 |
create_rqs_runs,
generate_hwh,
generate_ml_strategies,
generate_switch_network_for_noc,
get_gcc_versions,
get_hw_hbmmcs,
get_sim_versions,
refresh_hw_hbmmc,
update_calibration_scheme
|
Commands Added in 2022.1 |
compile_simlib,
config_compile_simlib,
create_run,
create_testbench,
find_routing_path,
get_lib_cells,
mark_objects,
open_example_project,
report_qor_assessment,
report_timing,
report_timing_summary,
setup_pr_configurations,
synth_design,
unmark_objects,
write_hw_platform,
write_project_tcl,
write_sdf
|
Commands Modified in 2022.1 |
create_sysgen,
import_xise,
import_xst,
tandem_verify
|
Commands Removed in 2022.1 |