To specify a design checkpoint file (DCP) to use as the reference design, and to run
incremental place in Non-Project Mode:
- Load the current design.
- Run
opt_design
. - Run
read_checkpoint -incremental <dcp_file>
. - Run
place_design
. - Run
phys_opt_design
(optional). Runphys_opt_design
if it was used in the reference design. - Run
route_design
.link_design; # to load the current design opt_design read_checkpoint -incremental <dcp_file> place_design phys_opt_design; route_design