10/22/2021 Version 2021.2 |
create_testbench,
export_xsim_coverage,
generate_vcd_ports,
tandem_verify,
terminate_runs,
wait_on_runs
|
Commands Added in 2021.2 |
add_to_power_rail,
checkpoint_vcd,
close_vcd,
create_power_rail,
flush_vcd,
launch_simulation,
limit_vcd,
log_vcd,
open_vcd,
place_design,
program_hw_devices,
read_checkpoint,
report_design_analysis,
report_qor_assessment,
report_qor_suggestions,
start_vcd,
stop_vcd,
synth_design,
write_bd_tcl,
write_checkpoint
|
Commands Modified in 2021.2 |
combine_hw_platforms,
wait_on_run,
write_hwdef
|
Commands Removed in 2021.2 |
06/16/2021 Version 2021.1 |
copy_constraints,
create_single_pass_run,
get_constant_paths,
report_constant_paths,
report_sim_version,
upgrade_project,
write_xsim_coverage
|
Commands Added in 2021.1 |
combine_hw_platforms, compile_simlib, config_ip_cache, create_cluster_configuration, create_hw_device, create_slack_histogram, create_waiver, export_simulation, find_routing_path, get_bels, implement_mig_cores, implement_xphy_cores,
iphys_opt_design,
place_design, read_iphys_opt_tcl,
report_clock_interaction, report_design_analysis, report_exceptions, report_methodology,
report_qor_assessment, report_qor_suggestions, save_bd_design_as, validate_bd_design,
write_qor_suggestions, write_xdc, xsim
|
Commands Modified in 2021.1 |
create_rqs_run
|
Commands Removed in 2021.1 |