The following table shows the revision history for this document:
Section | Revision Summary |
---|---|
06/16/2021 Version 2021.1 | |
copy_constraints, create_single_pass_run, get_constant_paths, report_constant_paths, report_sim_version, upgrade_project, write_xsim_coverage | Commands Added in 2021.1 |
combine_hw_platforms, compile_simlib, config_ip_cache, create_cluster_configuration, create_hw_device, create_slack_histogram, create_waiver, export_simulation, find_routing_path, get_bels, implement_mig_cores, implement_xphy_cores, iphys_opt_design, place_design, read_iphys_opt_tcl, report_clock_interaction, report_design_analysis, report_exceptions, report_methodology, report_qor_assessment, report_qor_suggestions, save_bd_design_as, validate_bd_design, write_qor_suggestions, write_xdc, xsim | Commands Modified in 2021.1 |
create_rqs_run | Commands Removed in 2021.1 |