11/18/2020 Version 2020.2 |
add_to_power_rail,
combine_hw_platforms,
create_power_rail,
delete_power_rails,
get_hw_softmcs,
get_power_rails,
refresh_hw_softmc,
remove_from_power_rail,
report_hw_softmc,
reset_hw_pcie,
update_bd_boundaries,
write_device_image
|
Commands Added in 2020.2 |
compile_simlib,
config_ip_cache,
implement_xphy_cores,
iphys_opt_design,
launch_simulation,
read_iphys_opt_tcl,
report_hw_pcie,
report_qor_assessment,
report_qor_suggestions,
report_switching_activity,
route_design,
synth_design,
write_hw_platform,
write_mem_info,
write_project_tcl
|
Commands Modified in 2020.2 |
write_hw_emu_dir
|
Commands Removed in 2020.2 |
06/03/2020 Version 2020.1 |
create_cluster_configuration, current_vivado_preferences, generate_hier_access, get_cluster_configurations, get_hw_pcies,
refresh_hw_pcie, remove_cluster_configurations, report_hw_pcie,
validate_board_files, validate_cluster_configurations, write_hw_emu_dir |
Commands Added in 2020.1 |
create_bd_intf_pin,
create_bd_intf_port,
export_simulation,
find_bd_objs,
get_pblocks,
iphys_opt_design,
open_hw_platform,
read_checkpoint,
read_iphys_opt_tcl,
report_design_analysis,
report_operating_conditions,
report_qor_suggestions,
report_ram_utilization,
report_route_status,
reset_operating_conditions,
set_operating_conditions,
synth_design,
write_bd_tcl,
write_checkpoint,
write_hw_platform,
write_sdf,
write_xdc
|
Commands Modified in 2020.1 |
place_pblocks
|
Commands Removed in 2020.1 |